source: pacpussensors/trunk/Vislab/lib3dv/eigen/Eigen-install/unsupported/test/CTestTestfile.cmake@ 136

Last change on this file since 136 was 136, checked in by ldecherf, 7 years ago

Doc

File size: 9.5 KB
Line 
1# CMake generated Testfile for
2# Source directory: C:/Users/ldecherf/Desktop/Vislab/eigen/unsupported/test
3# Build directory: C:/Users/ldecherf/Desktop/Vislab/eigen/Eigen-install/unsupported/test
4#
5# This file includes the relevant testing commands required for
6# testing this directory and lists subdirectories to be tested as well.
7add_test(NonLinearOptimization "NonLinearOptimization")
8set_tests_properties(NonLinearOptimization PROPERTIES LABELS "Unsupported")
9add_test(NumericalDiff "NumericalDiff")
10set_tests_properties(NumericalDiff PROPERTIES LABELS "Unsupported")
11add_test(autodiff_1 "autodiff_1")
12set_tests_properties(autodiff_1 PROPERTIES LABELS "Unsupported")
13add_test(autodiff_2 "autodiff_2")
14set_tests_properties(autodiff_2 PROPERTIES LABELS "Unsupported")
15add_test(autodiff_3 "autodiff_3")
16set_tests_properties(autodiff_3 PROPERTIES LABELS "Unsupported")
17add_test(BVH_1 "BVH_1")
18set_tests_properties(BVH_1 PROPERTIES LABELS "Unsupported")
19add_test(BVH_2 "BVH_2")
20set_tests_properties(BVH_2 PROPERTIES LABELS "Unsupported")
21add_test(BVH_3 "BVH_3")
22set_tests_properties(BVH_3 PROPERTIES LABELS "Unsupported")
23add_test(matrix_exponential_2 "matrix_exponential_2")
24set_tests_properties(matrix_exponential_2 PROPERTIES LABELS "Unsupported")
25add_test(matrix_exponential_1 "matrix_exponential_1")
26set_tests_properties(matrix_exponential_1 PROPERTIES LABELS "Unsupported")
27add_test(matrix_exponential_8 "matrix_exponential_8")
28set_tests_properties(matrix_exponential_8 PROPERTIES LABELS "Unsupported")
29add_test(matrix_exponential_6 "matrix_exponential_6")
30set_tests_properties(matrix_exponential_6 PROPERTIES LABELS "Unsupported")
31add_test(matrix_exponential_5 "matrix_exponential_5")
32set_tests_properties(matrix_exponential_5 PROPERTIES LABELS "Unsupported")
33add_test(matrix_exponential_7 "matrix_exponential_7")
34set_tests_properties(matrix_exponential_7 PROPERTIES LABELS "Unsupported")
35add_test(matrix_exponential_3 "matrix_exponential_3")
36set_tests_properties(matrix_exponential_3 PROPERTIES LABELS "Unsupported")
37add_test(matrix_exponential_4 "matrix_exponential_4")
38set_tests_properties(matrix_exponential_4 PROPERTIES LABELS "Unsupported")
39add_test(matrix_exponential_9 "matrix_exponential_9")
40set_tests_properties(matrix_exponential_9 PROPERTIES LABELS "Unsupported")
41add_test(matrix_function_1 "matrix_function_1")
42set_tests_properties(matrix_function_1 PROPERTIES LABELS "Unsupported")
43add_test(matrix_function_2 "matrix_function_2")
44set_tests_properties(matrix_function_2 PROPERTIES LABELS "Unsupported")
45add_test(matrix_function_3 "matrix_function_3")
46set_tests_properties(matrix_function_3 PROPERTIES LABELS "Unsupported")
47add_test(matrix_function_4 "matrix_function_4")
48set_tests_properties(matrix_function_4 PROPERTIES LABELS "Unsupported")
49add_test(matrix_function_5 "matrix_function_5")
50set_tests_properties(matrix_function_5 PROPERTIES LABELS "Unsupported")
51add_test(matrix_function_6 "matrix_function_6")
52set_tests_properties(matrix_function_6 PROPERTIES LABELS "Unsupported")
53add_test(matrix_function_7 "matrix_function_7")
54set_tests_properties(matrix_function_7 PROPERTIES LABELS "Unsupported")
55add_test(matrix_power_2 "matrix_power_2")
56set_tests_properties(matrix_power_2 PROPERTIES LABELS "Unsupported")
57add_test(matrix_power_1 "matrix_power_1")
58set_tests_properties(matrix_power_1 PROPERTIES LABELS "Unsupported")
59add_test(matrix_power_9 "matrix_power_9")
60set_tests_properties(matrix_power_9 PROPERTIES LABELS "Unsupported")
61add_test(matrix_power_7 "matrix_power_7")
62set_tests_properties(matrix_power_7 PROPERTIES LABELS "Unsupported")
63add_test(matrix_power_3 "matrix_power_3")
64set_tests_properties(matrix_power_3 PROPERTIES LABELS "Unsupported")
65add_test(matrix_power_4 "matrix_power_4")
66set_tests_properties(matrix_power_4 PROPERTIES LABELS "Unsupported")
67add_test(matrix_power_5 "matrix_power_5")
68set_tests_properties(matrix_power_5 PROPERTIES LABELS "Unsupported")
69add_test(matrix_power_8 "matrix_power_8")
70set_tests_properties(matrix_power_8 PROPERTIES LABELS "Unsupported")
71add_test(matrix_power_6 "matrix_power_6")
72set_tests_properties(matrix_power_6 PROPERTIES LABELS "Unsupported")
73add_test(matrix_square_root_1 "matrix_square_root_1")
74set_tests_properties(matrix_square_root_1 PROPERTIES LABELS "Unsupported")
75add_test(matrix_square_root_2 "matrix_square_root_2")
76set_tests_properties(matrix_square_root_2 PROPERTIES LABELS "Unsupported")
77add_test(matrix_square_root_3 "matrix_square_root_3")
78set_tests_properties(matrix_square_root_3 PROPERTIES LABELS "Unsupported")
79add_test(matrix_square_root_4 "matrix_square_root_4")
80set_tests_properties(matrix_square_root_4 PROPERTIES LABELS "Unsupported")
81add_test(matrix_square_root_5 "matrix_square_root_5")
82set_tests_properties(matrix_square_root_5 PROPERTIES LABELS "Unsupported")
83add_test(alignedvector3 "alignedvector3")
84set_tests_properties(alignedvector3 PROPERTIES LABELS "Unsupported")
85add_test(FFT "FFT")
86set_tests_properties(FFT PROPERTIES LABELS "Unsupported")
87add_test(sparse_extra_1 "sparse_extra_1")
88set_tests_properties(sparse_extra_1 PROPERTIES LABELS "Unsupported")
89add_test(sparse_extra_2 "sparse_extra_2")
90set_tests_properties(sparse_extra_2 PROPERTIES LABELS "Unsupported")
91add_test(sparse_extra_3 "sparse_extra_3")
92set_tests_properties(sparse_extra_3 PROPERTIES LABELS "Unsupported")
93add_test(polynomialsolver_1 "polynomialsolver_1")
94set_tests_properties(polynomialsolver_1 PROPERTIES LABELS "Unsupported")
95add_test(polynomialsolver_2 "polynomialsolver_2")
96set_tests_properties(polynomialsolver_2 PROPERTIES LABELS "Unsupported")
97add_test(polynomialsolver_3 "polynomialsolver_3")
98set_tests_properties(polynomialsolver_3 PROPERTIES LABELS "Unsupported")
99add_test(polynomialsolver_4 "polynomialsolver_4")
100set_tests_properties(polynomialsolver_4 PROPERTIES LABELS "Unsupported")
101add_test(polynomialsolver_5 "polynomialsolver_5")
102set_tests_properties(polynomialsolver_5 PROPERTIES LABELS "Unsupported")
103add_test(polynomialsolver_6 "polynomialsolver_6")
104set_tests_properties(polynomialsolver_6 PROPERTIES LABELS "Unsupported")
105add_test(polynomialsolver_7 "polynomialsolver_7")
106set_tests_properties(polynomialsolver_7 PROPERTIES LABELS "Unsupported")
107add_test(polynomialsolver_8 "polynomialsolver_8")
108set_tests_properties(polynomialsolver_8 PROPERTIES LABELS "Unsupported")
109add_test(polynomialsolver_9 "polynomialsolver_9")
110set_tests_properties(polynomialsolver_9 PROPERTIES LABELS "Unsupported")
111add_test(polynomialsolver_10 "polynomialsolver_10")
112set_tests_properties(polynomialsolver_10 PROPERTIES LABELS "Unsupported")
113add_test(polynomialutils_2 "polynomialutils_2")
114set_tests_properties(polynomialutils_2 PROPERTIES LABELS "Unsupported")
115add_test(polynomialutils_3 "polynomialutils_3")
116set_tests_properties(polynomialutils_3 PROPERTIES LABELS "Unsupported")
117add_test(polynomialutils_4 "polynomialutils_4")
118set_tests_properties(polynomialutils_4 PROPERTIES LABELS "Unsupported")
119add_test(polynomialutils_5 "polynomialutils_5")
120set_tests_properties(polynomialutils_5 PROPERTIES LABELS "Unsupported")
121add_test(polynomialutils_6 "polynomialutils_6")
122set_tests_properties(polynomialutils_6 PROPERTIES LABELS "Unsupported")
123add_test(polynomialutils_7 "polynomialutils_7")
124set_tests_properties(polynomialutils_7 PROPERTIES LABELS "Unsupported")
125add_test(polynomialutils_8 "polynomialutils_8")
126set_tests_properties(polynomialutils_8 PROPERTIES LABELS "Unsupported")
127add_test(polynomialutils_9 "polynomialutils_9")
128set_tests_properties(polynomialutils_9 PROPERTIES LABELS "Unsupported")
129add_test(kronecker_product "kronecker_product")
130set_tests_properties(kronecker_product PROPERTIES LABELS "Unsupported")
131add_test(splines "splines")
132set_tests_properties(splines PROPERTIES LABELS "Unsupported")
133add_test(gmres_1 "gmres_1")
134set_tests_properties(gmres_1 PROPERTIES LABELS "Unsupported")
135add_test(gmres_2 "gmres_2")
136set_tests_properties(gmres_2 PROPERTIES LABELS "Unsupported")
137add_test(minres_1 "minres_1")
138set_tests_properties(minres_1 PROPERTIES LABELS "Unsupported")
139add_test(minres_2 "minres_2")
140set_tests_properties(minres_2 PROPERTIES LABELS "Unsupported")
141add_test(levenberg_marquardt "levenberg_marquardt")
142set_tests_properties(levenberg_marquardt PROPERTIES LABELS "Unsupported")
143add_test(bdcsvd_11 "bdcsvd_11")
144set_tests_properties(bdcsvd_11 PROPERTIES LABELS "Unsupported")
145add_test(bdcsvd_13 "bdcsvd_13")
146set_tests_properties(bdcsvd_13 PROPERTIES LABELS "Unsupported")
147add_test(bdcsvd_14 "bdcsvd_14")
148set_tests_properties(bdcsvd_14 PROPERTIES LABELS "Unsupported")
149add_test(bdcsvd_15 "bdcsvd_15")
150set_tests_properties(bdcsvd_15 PROPERTIES LABELS "Unsupported")
151add_test(bdcsvd_16 "bdcsvd_16")
152set_tests_properties(bdcsvd_16 PROPERTIES LABELS "Unsupported")
153add_test(bdcsvd_17 "bdcsvd_17")
154set_tests_properties(bdcsvd_17 PROPERTIES LABELS "Unsupported")
155add_test(bdcsvd_18 "bdcsvd_18")
156set_tests_properties(bdcsvd_18 PROPERTIES LABELS "Unsupported")
157add_test(bdcsvd_3 "bdcsvd_3")
158set_tests_properties(bdcsvd_3 PROPERTIES LABELS "Unsupported")
159add_test(bdcsvd_4 "bdcsvd_4")
160set_tests_properties(bdcsvd_4 PROPERTIES LABELS "Unsupported")
161add_test(bdcsvd_7 "bdcsvd_7")
162set_tests_properties(bdcsvd_7 PROPERTIES LABELS "Unsupported")
163add_test(bdcsvd_8 "bdcsvd_8")
164set_tests_properties(bdcsvd_8 PROPERTIES LABELS "Unsupported")
165add_test(bdcsvd_1 "bdcsvd_1")
166set_tests_properties(bdcsvd_1 PROPERTIES LABELS "Unsupported")
167add_test(bdcsvd_2 "bdcsvd_2")
168set_tests_properties(bdcsvd_2 PROPERTIES LABELS "Unsupported")
169add_test(bdcsvd_5 "bdcsvd_5")
170set_tests_properties(bdcsvd_5 PROPERTIES LABELS "Unsupported")
Note: See TracBrowser for help on using the repository browser.